半导体设备行业专题报告:薄膜沉积设备,受益于国产化率提升

日期:2022-02-22 来源:未来智库阅读:425
核心提示:(报告出品方/作者:东方证券,蒯剑,马天翼,唐权喜)1. 薄膜沉积是半导体工艺三大核心步骤之一晶圆制造包括氧化扩散、光刻、刻
(报告出品方/作者:东方证券,蒯剑,马天翼,唐权喜)
 
1. 薄膜沉积是半导体工艺三大核心步骤之一
晶圆制造包括氧化扩散、光刻、刻蚀、薄膜沉积、离子注入、清洗与抛光、金属化七大流程。半 导体设备是半导体生产流程的基础,半导体设备先进程度直接决定了半导体生产的质量和效率。 其中薄膜沉积设备制造技术难度大,门槛极高,是半导体制造工艺中的三大核心设备之一(另外 两者为光刻设备和刻蚀设备)。
 
薄膜沉积设备作为晶圆制造的核心设备之一,在晶圆制造环节设备投资占比仅次于光刻机,约占 25%。根据 SEMI 和 Maximize Market Research 的统计,2020年全球半导体设备市场规模达到 712 亿美元,其中薄膜沉积设备市场规模约 172 亿美元。
 
根据工作原理的不同,集成电路薄膜沉积可分为物理气相沉积(PVD)、化学气相沉积(CVD)和其他。 薄膜沉积工艺不断发展,根据不同的应用演化出了 PECVD、溅射 PVD、ALD、LPCVD 等不同的 设备用于晶圆制造的不同工艺。
 
目前,全球薄膜沉积设备中 CVD类设备占比最高,2020年占比 64%,溅射PVD设备占比 21%。 CVD 设备中,PECVD 是主流的设备类型,2020 年在 CVD 设备中占比 53%,其次为 ALD 设备, 占比 20%。(报告来源:未来智库)
2. 多因素驱动国产薄膜沉积设备需求
国内产线建设极大拉动国产设备需求。半导体设备市场主要由美国、日本厂商主导,贸易摩擦背景下,半导体设备国产化诉求增强,长江存储、上海积塔、中芯国际、华虹、士兰微、合肥晶合 等国内晶圆厂在新增产能建设过程中积极导入国产设备,极大拉动国内半导体设备需求。
 
芯片工艺进步及结构复杂化拉动高性能薄膜设备需求。随着集成电路的持续发展,晶圆制造工艺 不断走向精密化,芯片结构的复杂度也不断提高,需要在更微小的线宽上制造,制造商要求制备 的薄膜品种随之增加,最终用户对薄膜性能的要求也日益提高。这一趋势对薄膜沉积设备产生了更高的技术要求,市场对于高性能薄膜设备的依赖逐渐增加。以 CVD设备演进为例,相比传统的 APCVD、LPCVD设备,PECVD设备在相对较低的反应温度下形成高致密度、高性能薄膜,不破 坏已有薄膜和已形成的底层电路,实现更快的薄膜沉积速度,已成为芯片制造薄膜沉积工艺中运 用最广泛的设备种类,未来 HDPCVD、FCVD 应用有望增加。ALD 设备亦有望在 14nm 及以下制 程逻辑芯片、17nm 及以下 DRAM 芯片中得到更广泛应用;国内长鑫存储已实现 19nm DRAM 芯片量产,未来 17nm 亦有望取得突破,带动国内高端薄膜沉积设备需求。
 
制程升级带动薄膜沉积设备用量提升。在摩尔定律的推动下,元器件集成度的大幅提高要求集成 电路线宽不断缩小,影响集成电路制造工序愈为复杂。尤其当线宽向 7 纳米及以下制程发展,当 前市场普遍使用的光刻机受波长的限制精度无法满足要求,需要采用多重曝光工艺,重复多次薄 膜沉积和刻蚀工序以实现更小的线宽,使得薄膜沉积次数显著增加。在 90nm CMOS 工艺,大约 需要 40 道薄膜沉积工序。在 3nm FinFET工艺产线,需要超过 100 道薄膜沉积工序,对于薄膜颗粒的要求也由微米级提高到纳米级。薄膜沉积设备用量方面,以中芯国际为例,一条 1 万片产能 的 180nm 8 寸晶圆产线 CVD 和 PVD 设备用量平均约为 9.9台和 4.8 台,而一条 1 万片 90nm 12 寸晶圆产线 CVD 和 PVD 设备用量分别可达 42台和 24 台。
3D NAND 堆叠层数增加拉动薄膜沉积设备需求。存储器领域制造工艺中,目前增加集成度的主 要方法是增大三维立体堆叠的层数,叠堆层数从 32/64层向 128/196 层发展,每层均需要经过薄 膜沉积工艺步骤,催生出更多设备需求。
 
目前,国内长江存储已实现 128 层 QLC/TCL 3D NAND 量产,并且 1期工厂已达到满产;未来 192 层 3D NAND 也有望取得突破,更高层数 3D NAND 产能建设将产生更多的薄膜沉积设备需 求。(报告来源:未来智库)
 
3. 国内厂商错位发展,共同受益国产化率提升
全球薄膜沉积设备市场由应用材料(AMAT)、泛林半导体(Lam Research)、东京电子(TEL) 和先晶半导体(ASM)等国际巨头公司垄断。
 
薄膜沉积设备国产化率估计仅5.5%(按设备数量口径)。近年来我国半导体设备国产化速度快速 增长,但总体看我国半导体行业制造仍需大量进口设备支持,国产化依然处于较低水平。我们统 计了 2020 年 1 月 1 日以来国内部分主要晶圆制造产线的薄膜沉积设备招标情况,6 家厂商共招标 薄膜沉积设备 1060 台(仅 PVD 和 CVD 类设备),国内厂商中标 58 台,其中拓荆科技中标 40 台(主要为 PECVD 设备),国内市占率为 3.8%;北方华创中标 18 台(主要为 PVD 设备),国 内市占率 1.7%。总体来看,目前国内薄膜沉积设备国产化率估计仅 5.5%(按设备数量口径)。
 
国内厂商尚不存在直接竞争,共同受益国产化率提升:
 
1) 拓荆科技引领 PECVD 国产化:拓荆科技具备 CVD、ALD 供应能力,CVD 产品包括 PECVD 和 SACVD,其中主力产品为 PECVD,尽管北方华创也有 PECVD 产品,但目前主要应用于 光伏/LED/功率器件/MEMS 领域。拓荆科技也是国内唯一一家产业化生产 SACVD 设备的厂 商,而北方华创 CVD 产品除 PECVD 外主要为 LPCVD、APCVD。ALD 产品方面,拓荆科技 与北方华创产品应用工艺有所差异(拓荆科技 ALD 应用于 SADP 工艺、STI 表面薄膜;北方 华创 ALD 应用于 HKMG 工艺)。由此可见,拓荆科技与北方华创尚不存在直接竞争。
 
2) 北方华创 PVD 优势显著:北方华创薄膜沉积产品线较为全面,具备 PVD、CVD、ALD 产品 供应能力,在 PVD 设备领域竞争优势显著,国内产线导入的国产 PVD 设备基本均出自北方 华创。拓荆科技、中微公司尚不具备 PVD 产品供应能力。
 
3) 中微公司主要为 MOCVD 设备,为北方华创、拓荆科技未产业化涉足的领域,产品应用于 LED、miniLED 化合物半导体,主要客户为乾照光电、三安光电等 LED 生产厂商,中微在 LED 及 miniLED 的 MOCVD 领域占据国内大多数份额。中微是拓荆的第三大股东,持有拓荆 11%股权,中微也已组建团队开发 LPCVD 和 EPI 设备。
 
4. 投资分析
1) 拓荆科技 – 引领 PECVD 设备国产化
 
PECVD 设备为公司主要收入来源,研发持续投入。拓荆科技多年来致力于高端半导体设备的研 发、生产、销售和技术服务,已成为国家高新技术代表企业之一。目前公司主要专注于薄膜沉积 设备,其产品包括等离子体增强化学气相沉积(PECVD)设备、原子层沉积(ALD)设备和次常 压化学气相沉积(SACVD)设备三大系列,已广泛适用于国内 14nm 及以上制程集成电路制造产 线,并持续加强 10nm 及以下先进制程产品的验证与测试。2020 年,公司实现营业收入 4.36 亿 元,同比大幅增长 73%,毛利率 34%。其中,PECVD 设备营收为 4.18 亿元,是公司主要收入来 源;ALD 和 SACVD 设备分别为 184 万元、867 万元。公司近年来持续强化产品研发投入,2020 年研发费用为 1.23 亿元,占营业收入比 28%。
拓荆科技是国内唯一产业化应用集成电路 PECVD 设备的厂商。公司该系列设备最大优势是在满 足工艺需求前提下拥有较低的客户综合使用成本,目前适用于 180-14nm 逻辑芯片、19/17nm DRAM、64/128 层 FLASH 制造等领域,可沉积 SiO2、SiN、SiON、BPSG 等多种材料。其中, 公司 12 英寸 PECVD 设备 PF-300T 和 8 英寸 PECVD 设备 PF-200T 均已实现产业化应用。2020 年公司生产 PECVD 设备 50 台,销售 31 台;2021Q1 PECVD 设备量产和销量分别为 14 台、4 台,为公司主要销售产品。
 
拓荆科技 ALD 设备成膜反应时间短,反应气体使用量少,可精准控制薄膜颗粒数及均厚度。目 前,公司 12 英寸 PEALD 设备 FT-300T 已实现产业化应用,可沉积 SiO2 和 SiN 材料薄膜,广泛 应用于国内 55-14nm 逻辑芯片制造、55-40nm BSI 工艺的晶圆制造、2.5D、3D TSV 等先进封装 领域。2020 年公司 ALD 设备产量 1 台。同时,公司正在研发 12 英寸 Thermal ALD 设备来满足 28nm 以下芯片制造所需,并可沉积 Al2O3、AlN 等多种金属化合物材料。
 
公司亦是国内唯一一家实现 SACVD 设备产业化应用的厂商。该系列设备沟槽填充能力卓越,填 充速率快,大幅度提高了使用效率。公司拥有 12 英寸 SACVD 设备 SA-300T 和 8 英寸 SACVD 设 备 SA-200T 两大设备,可沉积 BPSG、SAF 等介质薄膜材料,主要适用于 STI、ILD 工艺的晶圆 制造。公司 2020 年量产 SACVD 设备 3 台,销售 1 台;2021Q1 设备产量为 2 台。
 
2) 北方华创 - 引领国产化 PVD 设备
 
北方华创由七星电子和北方微电子战略重组而成,持续走在国内集成电路装备及精密电子元器件 产业的前沿。公司电子工艺装备主要包括半导体装备、真空装备和锂电装备,广泛应用于集成电 路、半导体照明、功率器件、微机电系统、先进封装、新能源光伏等领域。
营收快速增长,研发高投入。得益于下游目标市场需求和公司规模稳定增长,北方华创预计 2021 年实现营业收入 85-109 亿元,同比增长 40-80%,归母净利润 9.4-12.1 亿元,同比大幅增长 75- 125%。公司营业收入主要由电子工艺装备、电子元器件两大板块组成,2020 年分别占总销售比 80%和19%。为持续保持技术创新及新产品迭代,公司多年来一直加大产品研发投入,2020年公 司研发投入达到 16 亿元,同比增长 37%,占营业收入比例 27%。
 
北方华创在 PVD 设备领域实力雄厚。公司拥有 eVictor AX30 Al pad、exiTin H630 TiN 等 13 款具 有自主知识产权的 PVD 设备产品,支持 90nm -14nm 多个制程制造,主要应用于集成电路、先进 封装、LED 等领域,2012 年至今已实现超过 200 台设备销售。exiTin 系列 TiN 金属硬掩膜机台成 为 28nm 工艺后段金属布线硬掩膜标准制程机台,并进入国际供应链体系,实现稳定量产。同时, 公司已在溅射源设计、等离子产生与控制、颗粒控制、腔室设计与仿真模拟等关键技术上具备核 心技术优势。
 
在 CVD 方面,公司在 PECVD、APCVD、LPCVD、ALD 等设备均有布局。其中,卧式 PECVD 是北方华创自主研发的重要技术之一,已成功进入海外市场并在光伏领域应用广泛。公司研发的 介质膜沉积 PECVD 设备在 LED 领域也受到了各大厂商的青睐。ALD 产品方面,公司目前拥有热 原子层沉积(Thermal ALD)设备、等离子体增强原子层沉积(PEALD)设备两大系列产品,并陆续突 破了前驱物输运系统控制、均匀稳定的反应室热场及流场控制、等离子产生与控制、脉冲射频的 快速调频匹配等多项关键技术。目前,公司 ALD 设备已实现部分客户的设备销售。
 
3) 中微公司 –MOCVD 设备在 LED 领域竞争力强
 
中微公司专注于集成电路和 LED 芯片关键制造设备的生产、研发、销售,主要产品包括刻蚀设备、 MOCVD 设备。中微公司 2021 年营业收入 31.1 亿元,同比增加 37%,毛利为 13.4 亿元,同比 增加 56%,归母净利润为 9.5-10.3 亿元,同比增加 93-109%,新签订单金额为 41.3 亿元,同比 增加超过 90%。公司近年来持续加大研发投入,2020年研发支出达到 6.4 亿元,占营收比为 28%。
聚焦 MOCVD 设备,LED 领域优势显著。在薄膜沉积设备领域,公司主要聚焦 MOCVD 设备(金 属有机物化学气相沉积),可以应用于 LED 和 GaN、SiC 等功率器件外延片的生产制造。公司目 前拥有四大 MOCVD 设备产品,其中,Prismo D-Blue 和 Prismo A7 设备用于主流 LED 生产线, 可支持生产大批量 LED 外延片,具备高产能,高良率,低成本投入等优势,打破了德国 Aixtron 和美国 Veeco 在中国 MOCVD 市场的垄断地位。根据 Aixtron 和 Gartner 数据,中微 2020 年在全 球 MOCVD 市场份额为 16%,仅次于 Aixtron 和 Veeco。
 
MiniLED MOCVD 设备已获国内领先客户订单。中微具有自主知识产权的 Prismo UniMax MOCVD 设备可配置多达 4 个反应腔,可同时加工 108 片 4 英寸或 40 片 6 英寸高性能氮化镓基蓝 绿光 Mini LED 外延晶片,通过石墨盘的调整,可扩展至同时加工 164 片 4 英寸或 72 片 6 英寸外 延晶片,其工艺能力还可延展到生长 8 英寸外延晶片。每个反应腔都可以独立控制,这一创新设 计具备卓越的生产灵活性。中微 Prismo UniMax MOCVD 设备配置了新颖的局部温度补偿加热 系统,专为高性能 miniLED 量产而设计,具备优异的产出波长均匀性及产出稳定性。此外, Prismo UniMax MOCVD 设备配置了 785mm 大直径石墨托盘,极大地提高了设备产能,并有效 地降低了 miniLED 外延片的生产成本。目前该设备已收到来自国内领先客户的订单,同时,公司 正在与更多客户合作进行设备评估。Prismo UniMax设备的性能、复杂性提高很多,提供更多 的价值给用户,毛利率有明显增长。
 
(本文仅供参考,不代表我们的任何投资建议。如需使用相关信息,请参阅报告原文。)
打赏
联系客服 投诉反馈  顶部