芯片领域三箭齐发 英特尔跑步突围

日期:2023-09-21 阅读:566
核心提示:在硅谷源泉之一的圣何塞,英特尔CEO帕特基辛格(Pat Gelsinger)正在带领英特尔加速奔跑。当地时间9月19日,2023英特尔on技术创

 在硅谷源泉之一的圣何塞,英特尔CEO帕特·基辛格(Pat Gelsinger)正在带领英特尔加速奔跑。

当地时间9月19日,2023英特尔on技术创新大会于美国加利福利亚州圣何塞市开幕,基辛格在近两小时的演讲中系统性地阐述了英特尔的AI蓝图,包括AI芯片Gaudi、PC芯片酷睿、数据中心芯片至强等产品线的发展计划。

一方面,AI正在融进英特尔的各类硬件产品,基辛格一开场就表示,AI代表新时代的到来,创造了巨大的机会。另一方面,英特尔正不遗余力地推进“四年五个制程节点”规划,基辛格介绍道,规划进展顺利,Intel 7已经实现大规模量产,Intel 4(7纳米)已经生产准备就绪,Intel 3也在按计划推进中,目标是2023年年底。

同时,英特尔首次提到了“芯经济”(silicon economy)的概念,基辛格谈道,如今,芯片形成了规模达5740亿美元的行业,并驱动着全球约8万亿美元的技术经济。一切都在成为计算机,一切都在变得更智能,人工智能促进了“芯经济”的崛起。

AI路线图

从英特尔在人工智能芯片布局来看,目前主要有三类,分别是专门针对AI而生的Gaudi系列、Xeon至强芯片系列、GPU产品线。

其中,Gaudi系列直接对标英伟达,欲争夺AI算力市场的宝座,已经发布的Gaudi 2芯片是专为训练大语言模型而构建,采用7纳米制程,有24个张量处理器核心。

基辛格表示,下一代的Gaudi 3将采用5纳米制程,之后就是代号Falcon Shore的AI芯片。

在Xeon至强芯片方面,阿里云首席技术官周靖人在会上阐述了阿里巴巴如何将内置AI加速器的第四代英特尔至强处理器用于其生成式AI和大语言模型,即“阿里云通义千问大模型”。周靖人表示,英特尔技术“大幅缩短了模型响应时间,平均加速可达3倍”。

事实上,面对GPU巨头英伟达等的激烈竞争,英特尔提供多样性的组合,比如不论是Gaudi还是至强系列CPU,都可以和GPU融合,形成多样性的算力组合来应对不同需求。

同时值得注意的是,多位业内人士向21世纪经济报道记者表示,AI不仅仅是训练,还包括推理,在生成式AI之后,又多了一个细分的fine-tune(微调)。因为很多公司往往不会完全从头训练一个模型,会将预训练过的模型整合进数据集,然后在此基础上微调、训练出自己的模型。

因此这也意味着,生成式人工智能市场的爆发,GPU虽然成为最大的热点,但是在AI芯片领域,不仅仅只有GPU称霸的训练场景,还有广阔的推理场景,生成式AI也将带来推理的快速增长。而英特尔在强化训练的同时,也在人工智能推理性能上提升竞争力,欲形成自身优势。

AI PC和服务器芯片迭代

再看英特尔的PC芯片和服务器芯片路线,都更深入地嵌入了AI功能。

基辛格说道:“AI将通过云与PC的紧密协作,进而从根本上改变、重塑和重构PC体验,释放人们的生产力和创造力。我们正迈向AI PC的新时代。”

背后则是基于生成式AI和芯片的升级,而英特尔即将在12月14日推出产品代号为Meteor Lake的酷睿Ultra处理器。该处理器配备英特尔首款集成的神经网络处理器(NPU),用于在PC上带来高能效的AI加速和本地推理体验。

英特尔表示,酷睿Ultra处理器是英特尔客户端处理器路线图的一个转折点,该款处理器是首个采用Foveros封装技术的客户端芯粒设计。除了NPU以及Intel 4制程节点在性能功耗比上的进步外,这款处理器还通过集成英特尔锐炫显卡,达到了独立显卡级别的性能。

酷睿Ultra处理器对于英特尔来说具有多重意义,首先,这意味着英特尔已成功从英特尔7(10纳米)跳跃到英特尔4(7纳米)工艺节点。

按照此前英特尔公布的计划,Intel 4完全采用EUV光刻技术,凭借每瓦性能约20%的提升以及芯片面积的改进,Intel 4将在2022年下半年投产,并于2023年出货,这些产品包括面向客户端的Meteor Lake和面向数据中心的Granite Rapids。

其次,酷睿Ultra处理器搭载了NPU、GPU等技术,英特尔继续通过在新兴移动架构中添加新硬件来加速人工智能。比如,在未来的AI PC中,可以在本地直接“自动”作曲,在大会现场,英特尔就演示了生成歌手霉霉Taylor Swift风格的一段旋律。

在至强服务器芯片方面,第五代英特尔至强处理器将于12月14日发布,英特尔表示,届时将在相同的功耗下为全球数据中心提高性能和存储速度。

同时,基辛格公布了详细的至强系列路线图,具备能效核(E-core)处理器的Sierra Forest将于2024年上半年上市。与第四代至强相比,拥有288核的该处理器预计将使机架密度提升2.5倍,每瓦性能提高2.4倍。

紧随Sierra Forest发布的是具备性能核(P-core)处理器的Granite Rapids,与第四代至强相比,其AI性能预计将提高2到3倍。展望2025年,代号为Clearwater Forest的下一代至强能效核处理器将基于Intel 18A(1.8纳米)制程节点制造。

2025年晶圆制造对决

近年来,英特尔周围强敌环伺,从英伟达、AMD,到台积电、三星的竞争,都对英特尔形成压力。当前,基辛格在英特尔内部主导的“IDM2.0”转型成效也让业界拭目以待,从此次信息密度颇高的创新大会上,可以看到英特尔正在强化执行、逐步回归技术节点进程的势头。

在核心芯片业务上,目前英特尔在PC和服务器、数据中心市场上仍占据大部分市场。

当然,竞争依然激烈,此前就有产业人士向记者指出,在数据中心或是云业务上,英特尔的处理器业务不会轻易受到动摇,英特尔如何继续维持自身的AI运算加速芯片的竞争优势,也需要动态看后续发展。

同时,在全新的代工业务上,英特尔在发力追赶。

基辛格在会上表示:“Intel 20A将是首个应用PowerVia背面供电技术和新型全环绕栅极晶体管RibbonFET的制程节点。同样将采用这两项技术的Intel 18A制程节点也在按计划推进中,将于2024年下半年生产准备就绪。”

当前,台积电和三星都在为2纳米制程节点冲刺,台积电的目标是2纳米在2024年试产、2025年量产的,三星也公布了时间表,预期2纳米在2025年量产。

而Intel 18A是1.8纳米,围绕着2纳米的关口,2025年将是这三家晶圆代工龙头的阶段性对决之年,也是英特尔能否夺回先进制程榜首的关键时期。

在延续摩尔定律、发力代工的路上,英特尔也在联合产业链上下游进行突围。今年4月,英特尔和Arm宣布签署协议,使芯片设计公司能够利用Intel 18A制程工艺来开发低功耗计算系统级芯片(SoC);7月,英特尔宣布与爱立信达成战略合作协议,将采用英特尔18A制程为爱立信的下一代5G基础设施优化提供支持;8月,英特尔和新思科技宣布深化在半导体IP和EDA领域的合作,共同开发基于Intel 3和Intel 18A制程节点的IP产品组合。

除制程外,英特尔向前推进摩尔定律的另一路径是使用新材料和新封装技术。本周英特尔在业内率先推出用于下一代先进封装的玻璃基板,计划在2020年代后半段面向市场提供,将使单个封装内的晶体管数量不断增加,继续推动摩尔定律,满足以数据为中心的应用的算力需求。

同时,基辛格表示,摩尔定律的下一波浪潮将由多芯粒封装技术所推动。英特尔此次还展示了基于通用芯粒高速互连开放规范(UCIe)的测试芯片封装,该测试芯片集成了基于Intel 3制程节点的英特尔UCIe IP芯粒,和基于台积电N3E制程节点的新思UCIe IP芯粒。

随着技术层面不断推进摩尔定律、生成式AI带来新动力,半导体厂商们也开启新的追逐。

来源:21世纪经济报道

打赏
联系客服 投诉反馈  顶部